CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - verilog 状态机

搜索资源列表

  1. Veilogbook

    0下载:
  2. 第一章 数字信号处理、计算、程序、算法和硬线逻辑的基本概念 第二章 Verilog HDL设计方法概述 第三章 Verilog HDL的基本语法 第四章 不同抽象级别的Verilog HDL模型 第五章 基本运算逻辑和它们的Verilog HDL模型 第六章 运算和数据流动控制逻辑 第七章 有限状态机和可综合风格的Verilog HDL
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1079202
    • 提供者:碗筷
  1. VerilogHDLshujicaiji

    0下载:
  2. 基于Verilog HDL设计的自动数据采集系统 介绍了一种采用硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下的设计思路、Verilog HDL对系统硬件的描述和状态机的设计以及MAX+PLUSII开发软件的仿真。设计结果表明:该采集系统具有很高的实用价值,极大地提高了系统的信号处理能力。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:78230
    • 提供者:李进来
  1. 2005-9-5-M8AY1EQBIPZD4SWW

    0下载:
  2. 介绍了一种采用硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下的设计思路、Verilog HDL对系统硬件的描述和状态机的设计以及MAX+PLUSII开发软件的仿真。设计结果表明:该采集系统具有很高的实用价值,极大地提高了系统的信号处理能力。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:44022
    • 提供者:普林斯
  1. 用Verilog和VHDL设计状态机的论文

    0下载:
  2. 详细介绍了用Verilog和VHDL设计状态机的技术。
  3. 所属分类:文档资料

    • 发布日期:2009-04-03
    • 文件大小:113715
    • 提供者:yxpsc@tom.com
  1. synopsis_FSM_coding

    0下载:
  2. synopsis的有限状态机编码方法的文档。 针对synopsis的综合环境,根据其综合工具的特点说明安全可靠、速度适合的FSM编码风格。 FSM coding style under synopsis. Used for verilog or vhdl designer. Good study data for ASIC newhand.-synopsis of the finite state machine coding documents. Synopsis for the in
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:120486
    • 提供者:road
  1. floatmul

    0下载:
  2. 用verilog实现三十二位浮点数算法,通过状态机的方法实现。-32 floating-point implementation using verilog algorithm, the method adopted by the state machine implementation.
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:788
    • 提供者:尹小怡
  1. Advanced_Verilog_Design

    0下载:
  2. 以Lattice 器伴为例,描述如何在Verilog中指定管脚属饪功能(OE,RESET,IO CELL寄存器,双向IO,Latch IO,管脚Pin number, synthesis属性,输出电气规格...),状态机的使用,及其它Verilog进阶功能-With Lattice devices for example, it describes how to specify the pin function in Verilog (OE, RESET, IO CELL register, b
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:127413
    • 提供者:Tim
  1. verilog

    0下载:
  2. 语言设计,虚拟器件,有限状态机,verilong语言教程等-Virtual Appliance
  3. 所属分类:File Formats

    • 发布日期:2017-05-11
    • 文件大小:2469456
    • 提供者:欧亚
  1. zhuangtai

    0下载:
  2. Verilog语言实现状态机的设计,实现的状态机总共有三种,均给出了具体的实现方案-Design and implementation of the state machine of the Verilog language, the state machine to achieve a total of three, were given a concrete implementation scheme
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:709780
    • 提供者:萧寒
  1. washmachine

    0下载:
  2. 基于FPGA的洗衣机控制器 verilog语言 实现注水 脱水,正反转反复控制 状态机-FPGA-based controller verilog language washer water dehydration, reversing repeated control state machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:78182
    • 提供者:jasminee
  1. Verilog

    0下载:
  2. Verilog初学者使用,各种verilog的典型电路设计。包括状态机、CRC校验等。-Verilog beginners, abundant examples
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:271043
    • 提供者:李茜
  1. VHDL100

    0下载:
  2. 本文件包含100个Verilog实例,有存储器,时钟,椭圆滤波器,状态机等。有助于初学者的学习。-This document contains 100 examples of Verilog, there are memory, clock, elliptic filter, state machines. Help beginners to learn.
  3. 所属分类:Document

    • 发布日期:2017-05-23
    • 文件大小:6956391
    • 提供者:李昱君
  1. 14_ethernet_test

    0下载:
  2. 千兆网学习代码 ISE,状态机实现数据打包,基于PHY芯片实现数据传输(ethernet communication sample with verilog,state machine)
  3. 所属分类:通讯编程文档

    • 发布日期:2018-01-10
    • 文件大小:7106560
    • 提供者:konan007
搜珍网 www.dssz.com